{"created":"2021-03-01T06:22:12.182374+00:00","id":5505,"links":{},"metadata":{"_buckets":{"deposit":"47d8eb50-67c9-448f-995b-36d50d79bccc"},"_deposit":{"id":"5505","owners":[],"pid":{"revision_id":0,"type":"depid","value":"5505"},"status":"published"},"_oai":{"id":"oai:repository.dl.itc.u-tokyo.ac.jp:00005505","sets":["6:209:392","9:233:280"]},"item_7_alternative_title_1":{"attribute_name":"その他のタイトル","attribute_value_mlt":[{"subitem_alternative_title":"電源電圧0.5V以下の極低電力ロジック回路に関する研究"}]},"item_7_biblio_info_7":{"attribute_name":"書誌情報","attribute_value_mlt":[{"bibliographicIssueDates":{"bibliographicIssueDate":"2012-03-22","bibliographicIssueDateType":"Issued"},"bibliographic_titles":[{}]}]},"item_7_date_granted_25":{"attribute_name":"学位授与年月日","attribute_value_mlt":[{"subitem_dategranted":"2012-03-22"}]},"item_7_degree_grantor_23":{"attribute_name":"学位授与機関","attribute_value_mlt":[{"subitem_degreegrantor":[{"subitem_degreegrantor_name":"University of Tokyo (東京大学)"}]}]},"item_7_degree_name_20":{"attribute_name":"学位名","attribute_value_mlt":[{"subitem_degreename":"博士(工学)"}]},"item_7_description_5":{"attribute_name":"抄録","attribute_value_mlt":[{"subitem_description":"Possibility for realization of sub-0.5V extremely low power logic circuits is investigated in this thesis. Transistor variations at low power supply voltage degrade the operation of logic circuits. Thus, the effects of transistor variations are investigated at low VDD and countermeasures against them are proposed in the thesis. Reduction of power supply voltage (VDD) is an effective method for achieving ultra low power logic circuits since active power is proportional to VDD2 and leakage power is proportional to VDD. Although circuits exhibit slower speeds at low supply voltage, low voltage operation of logic circuits remains attractive for energy-constrained systems. Besides, when VDD is near/below the threshold voltage (VTH) of transistors, logic circuits operate most efficiently. In this way, lowering power supply voltage achieves low power and energy efficient operation. Transistor variations, however, inhibit lowering VDD, because the sensitivity of circuits to transistor variations drastically increases under reduced VDD. The main obstacles for low voltage operation of logic circuits are function errors and delay variations of logic circuits, because one function error at a single logic gate is considered as the function error of whole circuits and the delay variations makes the design of logic circuits more difficult at low VDD. These problems must be dealt with properly in the design of low voltage logic circuits. Thus, the purpose of the thesis is to reveal the effect of these two problems on logic circuits and propose countermeasures to achieve sub-0.5V extremely low power logic circuits. At first, function error of logic gates is discussed in 65nm CMOS. Function errors prevent lowering VDD of logic circuits. The minimum operating voltage (VDDmin) is defined as the minimum power supply voltage when the circuits operate without function errors. VDDmin increases with the number of logic gates and CMOS technology down-scaling. Thus, reducing VDDmin of logic circuits is important to achieve extremely low voltage logic circuits. The determinant factors of VDDmin in logic circuits are investigated, and the design criteria to reduce VDDmin are presented. VDDmin consists of VDDmin(SYS) and VDDmin(RAND). VDDmin(RAND) which is random component of VDDmin depends on the random variation of threshold voltage of transistors and the number of stages of logic gates, while VDDmin(SYS) which is systematic component of VDDmin is determined by the balance of nMOS and pMOS and is minimized when the logic threshold voltage is equal to half VDD. Therefore, VDDmin(RAND) is reduced by increasing width of nMOS and width of pMOS, while VDDmin(SYS) is minimized by optimizing WP/WN at a design stage. The body-biasing is effective to compensate for the increase of VDDmin(SYS) due to the die-to-die VTH variation. The optimal body-biasing minimizes VDDmin(SYS) and the forward body biasing decreases VDDmin(RAND). In the measurement, VDDmin is successfully reduced by 45mV from 193mV to 148mV by the forward body biasing. Next, the effect of delay variations on logic circuits is explored in 65nm CMOS. Delay variations of logic gates make it difficult for logic gate paths to meet timing constraints. If enough setup timing margins are considered, operation frequency decreases. By contrast, to meet hold time constraint, hold compensation buffers are inserted into logic gate paths. Therefore, the within-die delay variation dependence on VDD in several types of design under tests (DUT's) is measured with a proposed circuit. The proposed circuit emulates a real logic path because DUT's are inserted between F/F's and F/F-related delays are included in the delay measurement. The main focus of the measurement is dependence of the logic circuits on the methodology of physical layout. Although, layout of logic circuits is usually designed by automatically using place and route (P&R) tools, the effect of the auto P&R layout on delay variation is not clear at low voltage. Thus, DUT delay dependence on methodology of physical layout is investigated. The measurement result reveals that relative delay variation difference (=sigma/average) between the manual layout and the P&R layout rapidly decreases from 1.56% to 0.07% with reducing VDD from 1.2V to 0.4V, because the random delay variations due to the random transistor variations dominate total delay variations at low VDD. This result indicates that low voltage logic circuits designed by P&R tools do not raise delay variations at low VDD. Finally, in order to achieve ultra low VDD logic circuits, a post-fabrication dual VDD control (PDVC) of multiple voltage domains is proposed. Reducing VDDmin at a design phase is difficult because VDDmin is mainly determined by random variations of transistors. Furthermore, only one functional error of logic gates increases VDDmin of a whole logic circuit. Therefore, in order to reduce VDDmin, VDD must be controlled with multiple domains. In the proposed PDVC, the layout of the whole logic circuit is divided into many domains regardless of the functional blocks. The VDD of each domain is independently selected from high VDD (VDDH) and low VDD (VDDL). PDVC is applied to a DES CODEC's circuit fabricated in 65nm CMOS. The layout of DES CODEC's is generated by P&R tools and divided into 64 VDD domains. VDDH or VDDL is applied to each domain and the selection of VDD's is performed based on multiple built-in self tests. VDDH is selected in VDDmin-critical domains, while VDDL is selected in VDDmin-non-critical domains. As a result, a maximum 24% power reduction was measured with the proposed PDVC at 300kHz, VDDH =437mV, and VDDL=397mV. The results of the thesis, which includes investigation on and countermeasures against VDDmin and delay variation, is useful to realize sub-0.5V extremely low power logic circuits for future LSI applications.","subitem_description_type":"Abstract"}]},"item_7_dissertation_number_26":{"attribute_name":"学位授与番号","attribute_value_mlt":[{"subitem_dissertationnumber":"甲第27940号"}]},"item_7_full_name_3":{"attribute_name":"著者別名","attribute_value_mlt":[{"nameIdentifiers":[{"nameIdentifier":"11468","nameIdentifierScheme":"WEKO"}],"names":[{"name":"安福, 正"}]}]},"item_7_identifier_registration":{"attribute_name":"ID登録","attribute_value_mlt":[{"subitem_identifier_reg_text":"10.15083/00005496","subitem_identifier_reg_type":"JaLC"}]},"item_7_select_21":{"attribute_name":"学位","attribute_value_mlt":[{"subitem_select_item":"doctoral"}]},"item_7_subject_13":{"attribute_name":"日本十進分類法","attribute_value_mlt":[{"subitem_subject":"541","subitem_subject_scheme":"NDC"}]},"item_7_text_22":{"attribute_name":"学位分野","attribute_value_mlt":[{"subitem_text_value":"Engineering (工学)"}]},"item_7_text_24":{"attribute_name":"研究科・専攻","attribute_value_mlt":[{"subitem_text_value":"Department of Electrical Engineering and Information Systems, Graduate School of Engineering (工学系研究科電気系工学専攻)"}]},"item_7_text_27":{"attribute_name":"学位記番号","attribute_value_mlt":[{"subitem_text_value":"博工第7708号"}]},"item_7_text_4":{"attribute_name":"著者所属","attribute_value_mlt":[{"subitem_text_value":"東京大学大学院工学系研究科電気系工学専攻"},{"subitem_text_value":"Department of Electrical Engineering and Information Systems, Graduate School of Engineering, The University of Tokyo"}]},"item_creator":{"attribute_name":"著者","attribute_type":"creator","attribute_value_mlt":[{"creatorNames":[{"creatorName":"Yasufuku, Tadashi"}],"nameIdentifiers":[{"nameIdentifier":"11467","nameIdentifierScheme":"WEKO"}]}]},"item_files":{"attribute_name":"ファイル情報","attribute_type":"file","attribute_value_mlt":[{"accessrole":"open_date","date":[{"dateType":"Available","dateValue":"2017-06-01"}],"displaytype":"detail","filename":"37097104.pdf","filesize":[{"value":"1.6 MB"}],"format":"application/pdf","licensetype":"license_note","mimetype":"application/pdf","url":{"label":"37097104.pdf","url":"https://repository.dl.itc.u-tokyo.ac.jp/record/5505/files/37097104.pdf"},"version_id":"12a1c170-2894-4d6f-8aa0-8758a90885c2"}]},"item_language":{"attribute_name":"言語","attribute_value_mlt":[{"subitem_language":"eng"}]},"item_resource_type":{"attribute_name":"資源タイプ","attribute_value_mlt":[{"resourcetype":"thesis","resourceuri":"http://purl.org/coar/resource_type/c_46ec"}]},"item_title":"Sub-0.5V Extremely Low Power Logic Circuits","item_titles":{"attribute_name":"タイトル","attribute_value_mlt":[{"subitem_title":"Sub-0.5V Extremely Low Power Logic Circuits"}]},"item_type_id":"7","owner":"1","path":["280","392"],"pubdate":{"attribute_name":"公開日","attribute_value":"2014-02-24"},"publish_date":"2014-02-24","publish_status":"0","recid":"5505","relation_version_is_last":true,"title":["Sub-0.5V Extremely Low Power Logic Circuits"],"weko_creator_id":"1","weko_shared_id":null},"updated":"2022-12-19T03:46:56.278790+00:00"}